Publicidade
Economize: canal oficial do CT Ofertas no WhatsApp Entrar

Intel anuncia novidades para "democratizar" o uso de IA

Por| Editado por Jones Oliveira | 19 de Setembro de 2023 às 12h35

Link copiado!

Intel
Intel
Tudo sobre Intel

Durante o Innovation 2023, realizado entre os dias 19 e 20 de setembro, a Intel reforçou seu compromisso com a Inteligência Artificial, vista pela gigante como um pilar do futuro da computação. Pensando nisso, a empresa está trabalhando para "democratizar a IA" em todos os segmentos em que atua, de laptops a data centers. Para isso, anunciou diversas novidades pensadas para levar a tecnologia a cada vez mais empresas e usuários.

UCIe é porta para futuro da IA

Grande colaboração entre gigantes da indústria, aos moldes do PCI Express — que serviu de inspiração para o nome —, o Universal Chiplet Interconnect Express, ou UCIe, promete ser uma revolução ao permitir que chiplets de diferentes companhias (AMD, Intel, Nvidia, Qualcomm) possam ser combinados em um único processador, dando assim maior flexibilidade aos clientes dessas companhias. Essa característica seria essencial para o desenvolvimento de sistemas mais poderosos e melhor otimizados para IA.

Continua após a publicidade

Reforçando seu compromisso com o UCIe, a Intel demonstrou durante o Innovation um componente de teste com arquitetura de chiplets baseado na especificação inicial do protocolo. A solução foi resultado de uma parceria com a Synopsys e a TSMC, embarcando um chiplet do time azul produzido na litografia Intel 3, combinado a um chiplet da Synopsys fabricado no processo N3E da TSMC em um mesmo pacote. A comunicação entre eles é feita pela Intel EMIB, tecnologia de empacotamento que garante comunicação de alta velocidade.

Outro ponto de peso destacado no evento são os próprios avanços da Intel Foundry Services (IFS), a divisão de fabricação de chips da empresa, cujos aguardados processos Intel 20A e Intel 18A continuariam dentro do cronograma esperado. Provando a evolução, um wafer de testes da próxima geração de processadores para desktop fabricado com a Intel 20A, de codinome Arrow Lake, foi mostrado.

Muito mais densos e eficientes, e dois dos primeiros a apostar em inéditos transistores e métodos de alimentação de componentes, essas litografias permitiriam que a Lei de Moore permanecesse viva, aspecto que, segundo a Intel, seria essencial para turbinar o poder dos computadores na era da IA.

Continua após a publicidade

Intel Developer Cloud chega para todos

Em 2022, a Intel lançou em fase beta restrita a Developer Cloud, plataforma que opera na nuvem sem exigir instalações ou downloads. Nela, clientes (empresas), desenvolvedores e pesquisadores podem ter acesso antecipado às tecnologias da companhia, incluindo sistemas com novos processadores, ferramentas de software, códigos de exemplo e documentações, em um período de alguns meses a até um ano antes do lançamento.

A ideia do projeto é permitir que os participantes possam otimizar suas soluções para produtos Intel com antecedência, de forma a garantir boa performance assim que as novidades forem incorporadas à nuvem particular ou aos ambientes de computação em que trabalham. Neste ano, o time azul anunciou que a Developer Cloud está saindo da beta, agora estando disponível para todos os interessados.

Continua após a publicidade

Após realizar o cadastro através do site oficial, os inscritos terão acesso a componentes e recursos como os processadores da linha Intel Xeon, Xeon Max Series (com memória HBM integrada), família Data Center GPU Flex Series (focada em processamento gráfico), Data Center GPU Max Series (para processamento de dados) e, mais importante, às ferramentas da oneAPI, que auxiliam na produção e encaminhamento de códigos para o acelerador que entregará a melhor performance, e aos chips de IA Intel Habana.

A série Habana Gaudi, em especial, pode ser o componente mais interessante em termos de IA — testes internos recentes sugeriram que o modelo mais recente, o Gaudi2, entrega desempenho competitivo com a Nvidia H100, hoje a GPU mais poderosa para Machine Learning. Dito isso, a Intel faz questão de destacar como praticamente todos os seus componentes têm potência para acelerar algoritmos de Inteligência Artificial.

Intel Xeon: "a melhor CPU para IA"

Aproveitando a oportunidade, a companhia destacou o poder da 4ª geração Xeon Scalable Sapphire Rapids, que segundo dados da Intel seria "a melhor família de CPUs para Inteligência Artificial do mercado". Também foram detalhadas algumas das melhorias focadas em IA que serão implementadas na 5ª geração Emerald Rapids, com lançamento marcado para 14 de dezembro, bem como nas linhas Granite Rapids (composta de P-Cores de alto desempenho) e Sierra Forest (baseada em E-Cores de alta eficiência), aguardadas para 2024.

Continua após a publicidade

Quando foram lançados em janeiro, os processadores Xeon Sapphire Rapids se destacaram não apenas por serem os primeiros chips de data center da Intel a utilizarem uma arquitetura de chiplets, mas também por apostarem na integração de diversos aceleradores, entre eles soluções focadas em IA. A estratégia ajudou a turbinar a performance por Watt, reduzir o custo total de propriedade (TCO) e teria assegurado, segundo os dados da empresa, a liderança no processamento de Inteligência Artificial em CPUs.

Com a 5ª geração Emerald Rapids, a Intel pretende manter a posição de vantagem da linha Xeon, prometendo agora mais otimizações junto a um novo salto em eficiência energética e operacional. Dito isso, o grande ponto de virada da marca parece estar nas famílias Granite Rapids e Sierra Forest, que expandirão o uso de chiplets para dar maior flexibilidade aos servidores.

Os aprimoramentos começam justamente na disponibilização de duas famílias, com Granite Rapids oferecendo processamento de alto desempenho para uso geral e tarefas intensas (incluindo IA), e Sierra Forest melhor escalonada para cargas de trabalho que exijam mais núcleos e maior densidade. Ambas compartilham da mesma plataforma, permitindo que os clientes (as empresas) façam ajustes de acordo com as necessidades.

Continua após a publicidade

Há outros três pontos fortes: o uso dos novos módulos de RAM DDR5 MCR-DIMM, que utiliza um buffer para combinar dados de ranks diferentes do módulo para dobrar a taxa de transferência de informações para a CPU, aspecto essencial nas tarefas de IA; o aumento da contagem máxima de núcleos por soquete, que pode ser combinado à configuração 8S (oito CPUs em um único servidor) para melhor escalabilidade; e, no caso dos chips Granite Rapids, o novo núcleo Redwood Cove, mais eficiente e compatível com mais formatos de dados.

Intel Meteor Lake traz IA aos consumidores

Com lançamento confirmado para 14 de dezembro, a família Intel Core Ultra, de codinome Meteor Lake, será a primeira linha de processadores da companhia voltada para o consumidor final a empregar uma Unidade de Processamento Neural (NPU). A solução promete inferência de IA de baixa latência mesmo sem acesso à internet, e vai trabalhar em conjunto com a CPU, aprimorada pelo processo de fabricação Intel 4, e com a GPU, agora mais poderosa em virtude do uso da mesma arquitetura presente nas placas de vídeo gamer Intel Arc.

Continua após a publicidade

A cooperação entre os aceleradores é exemplificada de acordo com diferentes tipos de modelos de Inteligência Artificial: com ampla largura de banda e elevado paralelismo, a GPU é ideal para algoritmos que utilizem mídias (fotos, vídeos), ou estejam ligados a aplicações 3D (games, modelagem). Por sua vez, a NPU é otimizada para tarefas de baixo consumo, e tem o objetivo de aliviar a carga no processador, além de lidar com funcionalidades de uso prolongado.

Por fim, a CPU é indicada para modelos de IA mais leves, que exijam resposta rápida e baixa latência. Aproveitando a estreia do conjunto, a Intel anunciou uma colaboração com a Acer para o desenvolvimento de recursos de Inteligência Artificial otimizados para os chips Intel Core Ultra. Entre eles está o "Acer Parallax", que usa o poder da NPU para criar um efeito 3D em imagens selecionadas pelo usuário.

Plataforma de IA para Edge

Continua após a publicidade

Nos últimos anos, empresas de grande porte viram a necessidade e os benefícios de aproximar os data centers dos consumidores, ou ainda de áreas sensíveis como centros de distribuição e fábricas. Essa tendência de aproximar a computação das fontes de dados é conhecida como Edge Computing, ou Computação de Borda em português. São usuárias da Computação de Borda companhias de telecomunicações, de logística, monitoramento, inspeção de produtos, mídia interativa (como quiosques) e outras.

Segundo a Intel, até 2025, mais de 50% dos dados gerenciados por empresas será gerado fora dos data centers ou da nuvem, e até 2026, 50% das plataformas de Computação de Borda terão envolvimento com soluções de Machine Learning — número dez vezes maior que o registrado em 2022. Diante disso, o time azul revelou que está preparando uma plataforma completa de IA com recursos de software e hardware, temporariamente chamada de "Edge-Native Software Platform".

A proposta da solução é "acelerar o desenvolvimento e a adoção da Borda Inteligente", envolvendo múltiplas camadas modulares adaptadas para diferentes negócios, seguindo a flexibilidade oferecida em outros serviços da marca. Detalhes sobre o funcionamento da plataforma e um prazo de lançamento não foram divulgados, mas é possível que tenhamos novidades nos próximos meses.

OpenVINO 2023.1 expande recursos para IA

Continua após a publicidade

Fechando o pacote de novidades, a Intel anunciou a chegada do OpenVINO 2023.1, kit de ferramentas de software de código aberto usado para facilitar a otimização e a aplicação de processamento de IA na Borda e no segmento Client — nome dado à área que engloba os desktops e notebooks dos consumidores finais. A nova versão traz inúmeras melhorias pensadas para tornar o acesso e o uso dos algoritmos mais fácil em mais dispositivos.

Agora, desenvolvedores e pesquisadores poderão importar e converter automaticamente modelos baseados em PyTorch e TensorFlow, além de ser possível escolher a API mais adequada para ser usada, dependendo das necessidade da carga de trabalho.

O OpenVINO 2023.1 está ainda mais amigável às IAs generativas, expandido a cobertura de modelos para chatbots, geração de código e outros, bem como aprimorando o suporte a GPUs e o consumo de memória. Foram adotadas também técnicas mais avançadas de compressão, para otimizar o uso de Grandes Modelos de Linguagem (LLMs).

Continua após a publicidade

Por fim, as ferramentas serão totalmente suportadas pela Unidade de Processamento Neural (NPU) dos novos processadores Intel Meteor Lake, outra novidade do Innovation 2023. Combinadas à natureza do OpenVINO de dividir automaticamente o processamento de Inteligência Artificial entre os recursos de computação disponíveis, as mudanças seriam um ponto essencial na missão da Intel de "democratizar a IA", ao trazê-la "para qualquer lugar e dispositivo".